Standard Cell Libraries

Layout of a standard cell inverter
Tinoosh Mohsenin / University of Maryland, Baltimore County

Standard Cell libraries help simplify the design task by abstracting some of the complexity of physical transistor layout and local connection while still understanding the design tradeoffs to meet the system level goals for power, area, and performance for a system-on-chip (SoC). 

Standard Cell libraries are a collection of well characterised basic circuits that Electronic Design Automation (EDA) tools use to instantiate the larger design based on the design constraints (optimisation choices, timing, etc.). Characterisation of the cells is critical to obtaining realistic estimates of post layout performance that will be close to those of the final fabricated devices. The description of each cell includes layout, perfance and other characterization data (slew, delay, capacitance, drive strength, etc.) in the form of look-up tables needed by the EDA design tasks to allow selection of the best standard cell candidates to optimise the final design.

Cell area is usually defined in terms of height in tracks, a track being the space needed to draw the smallest metal connection (with appropriate spacing). For example, a standard cell of 9T (nine tracks high) might have a 12T derivative for higher performance and a 7T derivative for minimal area. The internal layout and resulting characteristics comprimised for the different power, area, and performance trade off. Selection cannot be variable at every possible location. To ensure cells are places without causing design rule violations, while enabling routing of power and ground between adjacent cells in a row, the height of cells and the arrangement of elements at the edge of the cells is tightly defined.

The cell layouts are made to assist the EDA physical design tasks such as Place and Route. As technology nodes get smaller the information requirements for both standard cell definition and characterisation and EDA design tasks becomes more involved to meet the more exacting needs of the more complex fabrication methods involved.

Explore This Design Flow

Videos

Projects Using This Design Flow

Competition 2023
Competition: Hardware Implementation

A 28nm Motion-Control SoC with ARM Cortex-M3 MCU for Autonomous Mobile Robots

Experts and Interested People

Members

 
Research Area
Static Memory, Custom Physical Design, Standard Cell Design
Role
Post-PhD Researcher - Adjunct Assistant Professor
 
Name
Research Area
Digital Circuits and Architectures
Role
Graduate Student Researcher
 
Research Area
Robotic
Role
Graduate Student
 
Name
Research Area
Hardware Design
Role
student

Actions

Log-in to Add to Your Profile

Comments

An interesting paper Multi-Objective Digital Design Optimization via Improved Drive Granularity Standard Cells by Intelligent Systems and Nano-science Research Group at the University of York. It discusses the drive strengths of different standard cells realised through different transistor sizes affecting the current drive capabilities and trade off in area / power. Looks at removing the limiting factor of simple cell selection from known small set to supporting more optimal solutions where EDA tools create cells of exact drive to meet load requirements.

Current EDA tools have limitations in placement of varying geometry cells. The paper introduces a methodology to interpolate fine-grained drive options into the original granularity of standard cell libraries allowing existing EDA tasks to be accomplished but with benefits of more precise cell based optimisation in area / power.

Add new comment

To post a comment on this article, please log in to your account. New users can create an account.